On: What does "vxW: [xid #0] !!! limit: dfce904c[VM,9] = 87 on exit." mean?

October 2008